architecture rexample of dff_logic is
begin
process(clk,reset)begin
if reset=`0` then
q<=d;
elsif rising_edge(clk) then
q<=d;
end if ;
end process;
end rexample;